Welcome![Sign In][Sign Up]
Location:
Search - ADC FPGA

Search list

[Internet-NetworkXilinx FPGA设计进阶(提高篇)

Description: Xilinx FPGA设计进阶(提高篇)-advanced Xilinx FPGA design (Advancement Part 1)
Platform: | Size: 2460672 | Author: 郑忡 | Hits:

[VHDL-FPGA-VerilogADC

Description: 用verilog编程实现的基于FPGA的AD数据采集程序-Verilog Programming with FPGA-based data collection procedures AD
Platform: | Size: 499712 | Author: 张西贝 | Hits:

[VHDL-FPGA-Verilogadc_control

Description: Xilinx FPGA 开发板的ADC采样源程序 内有PDF文档详细说明 VHDL代码-Xilinx FPGA development board with the ADC sampling source has a detailed description PDF document VHDL code
Platform: | Size: 951296 | Author: visual | Hits:

[VHDL-FPGA-VerilogFPGAAD

Description: FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar-FPGA control AD procedure
Platform: | Size: 269312 | Author: 黄群 | Hits:

[VHDL-FPGA-Verilog61EDA_D954

Description: 用FPGA实现的ADC采样器,用vhdl编写,spi总线-FPGA implementation using the ADC sampler, prepared using VHDL, spi bus
Platform: | Size: 58368 | Author: nbm | Hits:

[VHDL-FPGA-VerilogExample

Description: Nanny Fpga开发板的正版测试程序,内含lcd1602液晶控制驱动程序,Ad9201模数转换器和AD5440数模转换器的驱动控制程序-Nanny Fpga Development Board of the Genuine test procedures, including control lcd1602 LCD driver, Ad9201 ADC and DAC AD5440 driver control procedures
Platform: | Size: 135168 | Author: zhijun | Hits:

[VHDL-FPGA-Verilogverilogsigma-deltaadc

Description: 用verilog编写的sigma-deltaADC的源程序。-code of verilog for sigma delta ADC
Platform: | Size: 4096 | Author: 刘晓志 | Hits:

[VHDL-FPGA-VerilogFPGA_ADDA

Description: 基于 Cyclone EP1C6240C8的ADS2807,DAC2902 测试程序。主要用来使用FPGA控制ADC采集和DAC的输出,从而达到高频率信号处理的功能。首先从ADC2807采集数据,然后送给DAC2902输出。 采用FPGA口线模拟ADC2807和DAC2902的时序来实现。 提供ADC采样频率控制、DAC输出频率控制、输出波形控制、ADC通道转换、DAC通道转换等功能。-Based on Cyclone EP1C6240C8 of the ADS2807, DAC2902 testing procedures. ADC is mainly used to control the use of FPGA acquisition and DAC output, so as to achieve high-frequency signal processing functions. First, from ADC2807 data acquisition, and then sent to DAC2902 output. FPGA I lines using analog timing ADC2807 and DAC2902 to achieve. ADC sampling frequency control to provide, DAC output frequency control, output waveform control, ADC channel conversion, DAC channel conversion functions.
Platform: | Size: 2019328 | Author: icemoon1987 | Hits:

[Program docrjwxdpt

Description: 软件无线电技术是用于卫星导航和第三代移动通信(3G) 数据处理和计算的最优解决方案。运用基于FPGA的So PC 嵌入式设计方法构造软件无线电系统,提高了对动态实时信号的处理能力。设计完成无线通信体系结构,以及ADC 模数转换,数字下变频,CPU 中央处理器,DSP 运算单元,PCI 桥以及数据控制等模块的详细组成。相对于目前常规系统,该系统在功耗和体积方面可节省30 以上,对高速数据流的处理和计算能力有显著提高,可以应用于Cellular/ PCS 基站,GPS 抗干扰接收机,相控阵接收机,频谱分析和3G无线通信等领域。-Software radio technology is used for satellite navigation and third-generation mobile communication (3G) data processing and computing the optimal solution. So PC using FPGA-based embedded design methods to create software-defined radio system to improve the dynamic real-time signal processing capabilities. Wireless communications architecture design is completed and the ADC analog-digital conversion, digital down conversion, CPU CPU, DSP computing unit, PCI bridge, and data control module, the detailed composition. Relative to the current conventional systems, the system can save power consumption and size are more than 30 of the high-speed data stream processing and computing power has significantly increased, can be applied to Cellular/PCS base stations, GPS anti-jamming receivers, phased array receivers, spectrum analysis, and 3G wireless communications and other fields.
Platform: | Size: 516096 | Author: x | Hits:

[SCMadc_sensor

Description: adc is fpga latform code for testing temparature sense
Platform: | Size: 121856 | Author: rahul | Hits:

[Software EngineeringImproving_Fusion_ADC_AN

Description: 使用actel公司的fusion FPGA所设计ADC的实验过程以及要注意的事项-Use actel' s fusion FPGA designed by ADC the experiment and the need to pay attention to
Platform: | Size: 919552 | Author: 陈小飞 | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 结合FPGA和以太网传输的特点,设计了一套数据采集系统,应用FPGA的内部逻辑实现对ADC、SDRAM、网卡控制芯片DM9000的时序控制,以FPGA作为采集系统的核心,通过ADC,将采集到的数据存储到SDRAM中,以FIFO方式从SDRAM中读出数据,并将数据结果通过以太网接口传输到计算机-Combination of FPGA and Ethernet features, designed a data acquisition system, application FPGA' s internal logic to realize the ADC, SDRAM, LAN controller chip DM9000 timing control to capture FPGA as the core of the system, through the ADC, will be collected The data stored in SDRAM, the SDRAM in order to read data from the FIFO method, and data results to a computer via Ethernet interface
Platform: | Size: 388096 | Author: gdr | Hits:

[VHDL-FPGA-VerilogADC

Description: a verilog code about dac of audio codec on fpga board.
Platform: | Size: 1024 | Author: DCLAB | Hits:

[VHDL-FPGA-VerilogADC

Description: ACTEL FUSION STARTKIT FPGA 开发板例程,实现16通道的adc转换控制 adc精度12位 / 10位 可调 -ACTEL FUSION STARTKIT FPGA development board routines, to achieve 16-channel control of adc adc conversion precision 12-bit/10 adjustable
Platform: | Size: 488448 | Author: zhangyujun | Hits:

[VHDL-FPGA-Verilogverilogadc0809

Description: verilog adc0809控制器FPGA实现,编译通过,系统时钟分频,满足ADC时钟要求。-verilog adc0809 controller FPGA, compiler, system clock frequency to meet the requirements of ADC clock.
Platform: | Size: 344064 | Author: luo | Hits:

[VHDL-FPGA-VerilogADCData

Description: ADC Interface to read into FPGA
Platform: | Size: 2048 | Author: Sam | Hits:

[VHDL-FPGA-VerilogADC-FPGA-test

Description: adc 测试 , FPGA 工程-adc test, FPGA project
Platform: | Size: 3072 | Author: 王海峰 | Hits:

[VHDL-FPGA-Verilogadc

Description: 设计ADC控制器,Verilog代码.利用有限状态机设计方法在FPGA上设计ADC0809的接口控制器,采样结果送到数码管显示出来。-ADC controller design, Verilog code using finite state machine design in the FPGA design ADC0809 interface controller, the sampling results to the digital display.
Platform: | Size: 3072 | Author: 钟雪美 | Hits:

[VHDL-FPGA-VerilogADC

Description: AD转换是现在工业中应用十分广泛的一种技术,它可以实现模拟量向数字量的转换,fpga这一快速的器件将有利于数据的处理。-adc0809 vhdl fpga
Platform: | Size: 36864 | Author: | Hits:

[VHDL-FPGA-VerilogADC0809

Description: ADC0809为8位AD,程序为利用FPGA实现ADC0809对于信号的模数转换。-ADC0809 8-bit AD, procedures for the use of FPGA implementation ADC0809 analog to digital conversion for the signal.
Platform: | Size: 349184 | Author: stt | Hits:
« 12 3 4 5 6 7 8 »

CodeBus www.codebus.net